Skip to content Skip to navigation

MEMS

EV Group
EV Group
DI Erich Thallner Strasse 1 4782 St.Florian am Inn AUSTRIA

Our vision of "being the first in exploring new techniques and serving next generation applications of micro and nano fabrication technologies" enables our customers to successfully commercialize their new product-ideas. This ensures continuous effective development and economic stability.

The basis for our success is our products: lithography, bonding and imprint systems. We hold the dominant share of the market for all types of wafer bonding equipment and are the market and technology leader in lithography and nanoimprinting.

Carnegie Mellon Nanofab
Carnegie Mellon Nanofab
ECE Department Pittsburgh, PA 15213 USA

A premier research laboratory in the College of Engineering, the Carnegie Mellon Nanofabrication Facility is one of the most well equipped university based facilities for thin film and nano/micro device development in the United States. The Nanofab includes a cleanroom with 2,600 square feet of class 100 space and 1,200 square feet of class 10 space, as well as three thin film labs.

SAMCO Inc.
SAMCO Inc.
1227 Innsbruck Drive Sunnyvale, CA 94089 USA

SAMCO was founded by Osamu Tsuji in 1979 as the Semiconductor And Materials COmpany (SAMCO). From its modest beginnings in a garage in Kyoto, Japan, SAMCO has grown into a $50 million corporation with more than 150 high-level design and production research associates at its corporate headquarters in Kyoto, Japan, sales offices in Tokyo, Tokai, and satellite offices in Kanagawa, Tsukuba, and Sunnyvale, California. The Silicon Valley research and development facility in Sunnyvale was opened in 1987.

Novati Technologies
Novati Technologies
2706 Montopolis Drive Austin, TX 78741 USA

Novati Technologies is the leading innovation partner for accelerating nanotechnology development and commercialization. Novati's proven advanced technology and secure IP infrastructure combined with our Technology Development Process supports companies developing MEMS, microfluidics, novel transistors, photonics and other nanotechnologies for the Semiconductor, Healthcare & Life Sciences and Aerospace & Defense markets.

James Watt Nanofabrication Centre
James Watt Nanofabrication Centre
James Watt Building University of Glasgow Glasgow, G12 8QQ UK

The James Watt Nanofabrication Centre @ Glasgow houses over £22M of nanofabrication tools in a 750m2 clean room run as a pseudo-industrial operation by 14 technicians and 4 PhD level research technologists.

Glasgow has over 30 years experience of delivering micro- and nano-fabrication (and in particular electron-beam lithography) to develop solutions in processing, nanotechnology, nanoelectronics, optoelectronics, mm-wave & terahertz, bioengineering, biotechnology, lab-on-a-chip, cleantech & energy, photovoltaics, security & defence and a host of other applications.

CVD Equipment Corporation
CVD Equipment Corporation
355 S. Technology Drive Central Islip, NY 11722 USA

CVD Equipment Corporation designs and manufactures a wide variety of process solutions incorporating temperature, pressure, gas delivery and related safety controls. Our custom equipment solutions include Chemical Vapor Deposition systems, gas cabinets, gas panels, scrubbers, surface reflow ovens, and other process equipment support services. Our equipment is used globally for research, quality control and production across many industries including Alternative Energy, Nanotechnology, Graphene, Optoelectronic, Semiconductor and MEMS.

SemiProbe
SemiProbe
276 E. Allen Street Winooski, VT 05404 USA

SemiProbe designs and manufactures the most innovative and modular probing, inspection and test solutions available today. Our customers include Universities, Government Research Labs, and a broad range of semiconductor companies developing both existing and innovative, emerging technologies including MEMS, nanotechnology, optoelectronics, photovoltaics and more. With hundreds of installed systems across five continents, we provide cost-effective test systems and accessories to meet a wide variety of applications from R&D through production.

AMF-Nano Corp
AMF-Nano Corp
320 Miller St Suite 140 Ann Arbor, MI 48103 USA

AMF-Nano Corporation is a young, innovative growth company that has developed patented wafer scale integration processes which will enable the design and manufacture of the next generation of large scale heterogeneous smart sensor systems in a robust, very reliable and cost-effective manner. The production methods the Company embraces utilize advanced microelectronic technologies to overcome and eliminate all the drawbacks found today in the traditional processes used for MEMs/CMOS integration.

Lurie Nanofabrication Facility
Lurie Nanofabrication Facility
1301 Beal Avenue Ann Arbor, MI 48109 USA

The mission of the LNF is to provide effective, efficient, safe, and socially responsible access to advanced nanofabrication equipment and expertise thereby promoting, enabling, and encouraging cutting-edge education, research and business development from materials and individual process steps to entire systems.

Nano Lab Technologies
Nano Lab Technologies
1708 McCarthy Blvd. Milpitas, CA 95035 USA

Using cutting edge technology and expertise, Nanolab Technologies provides Knowledge Based Analytical Services for Failure Analysis, Materials Analysis, FIB Circuit Edit, and Advanced Microscopy.

Pages