Skip to content Skip to navigation

New oxide nanoparticle extreme-UV photoresists achieve high sensitivity

Written by: 
Christopher Ober and Emmanuel Giannelis
High-performance photoresists made from metal oxide nanoparticles offer high-sensitivity lithography at extreme-UV wavelengths by using a new ligand-based patterning mechanism.

There remains strong debate regarding which patterning technology will be used in next-generation electronics. The International Technology Roadmap for Semiconductors (ITRS) predicts that feature dimensions of less than 20nm will be required for semiconductor processing within the next two years. Three possible approaches are featured in the ITRS report: nanoimprint patterning, in which a resist film is molded on top of a substrate using micromachined masters; directed self-assembly, which exploits the self-assembly properties intrinsic to block copolymers; and extreme-UV (EUV) patterning—the most traditional strategy of the three methods under development—which depends on short-wavelength radiation (13nm) to create a solubility change in exposed areas.

EUV patterning enables the formation of small-scale patterns with the arbitrary shapes enabled by light-based lithography. Recent improvements to light sources (in terms of both reliability and power) as well as new photoresists, such as those based on chemically amplified materials and nanoparticles, are driving significant interest in this technology. At present, the challenge lies in creating a photoresist that works well under EUV radiation, is highly sensitive, and improves on the line-edge roughness and line-width roughness (LER/LWR) performance of most EUV resists. Because of the way that materials interact with short-wavelength EUV radiation, it is believed that the incorporation of higher mass elements would be beneficial to EUV photoresists. These elements provide improvements to optical properties and increase the energy harvesting abilities of the photoresists, enabling more of the applied energy to photochemically induce solubility changes and thereby increase sensitivity.

Strong interest has recently developed in the use of metal oxide nanoparticles as photoresists. These materials enable the incorporation of higher mass elements. Initial studies have demonstrated that hafnium and zirconium oxide nanoparticles can be patterned to very high resolution—in some cases down to 10nm—with excellent image fidelity and LER.1 Researchers are working to improve the sensitivity of the current water-based system, which depends on peroxides for its patterning process. We have found that a hafnium oxide (HfO2) core with a diameter of 2nm, surrounded by organic ligands (binding molecules), can be patterned at EUV wavelengths with extremely high sensitivity (<5mJ/cm2).2 The ligands are added as reagents during the growth process and combined with photoactive compounds, such as photoacid or photoradical generators. The nanoparticle photoresist is shown schematically in Figure 1. This photoresist, coated from solvents such as propylene glycol methyl ether acetate (PGMEA), can be developed as either positive (aqueous base) or negative (e.g., 2-heptanone) tone. To date, the highest resolution that we have achieved with these photoresists is 19nm.

Figure 1. Schematic of the extreme-UV (EUV) nanoparticle photoresist with its core metal oxide and the organic ligand surrounding the core. ZrO2: Zirconium dioxide. HfO2: Hafnium oxide.

Despite the use of photoactive compounds, these nanoparticle photoresists are not chemically amplified. Since they do not depend on a deprotection mechanism to control the solubility of the resist, diffusion is limited and the shortcomings of current, conventional chemically amplified resists at EUV wavelengths (caused by acid diffusion) are avoided. We have developed new methods of controlling resist performance factors, depending on the selection of the photoactive compound and ligands. As part of our studies, we have endeavored to understand what factors control the resist in terms of exposure dose and sensitivity. We have confirmed that when using photoacid generators that produce a sulfonic acid, the weaker the ligand, the more sensitive the resist becomes. If a stronger ligand is used in the starting composition then the resist becomes less sensitive, but LER is less pronounced. The photoresists therefore follow the same resolution, LER, and sensitivity trade-off behavior that other more conventional resists observe.

Detailed studies of the patterning process suggest that the solubility change that occurs on exposure is in part due to the displacement of the starting ligands by new ligands, which are produced by the photoactive compounds:3 see Figure 2. For example, the sulfonic acid produced by photoacid generators (e.g., triphenylsulfonium triflate) displaces the methacrylate ligands used in many of our compositions. This ligand displacement is associated with a solubility change. Similarly, if a photoradical generator is used that produces benzoate as a product (e.g., 2,2-dimethoxy-2-phenylacetophenone), this can also displace the methacrylate ligand. There is a strong correlation between resist sensitivity and the difference in ligand strength between the starting ligand and the displacing ligand. The greater the difference, the more sensitive the resist.

Figure 2. Schematic of the ligand-displacement patterning mechanism for negative-tone pattern formation. h½: Energy. H2O: Water.

In summary, these new metal oxide nanoparticle photoresists show great promise as EUV patterning materials with high sensitivity, high resolution, and high etch resistance. We have demonstrated that these photoresists have sensitivities in the range required for current sources and may provide a boost to progress in EUV patterning. As we better understand the patterning mechanism, resists with a greater tunable performance range are becoming available. Our next step is to provide limited samples of these new resists and to enhance our understanding of resist performance parameters to broaden its current process window.

International Sematech has supported critical research programs in the development of these photoresists. In particular, Cornell researchers thank Mark Neisser (Sematech) for his encouragement, support, and thoughtful interactions. We also thank our group members at Cornell University who have made these nanoparticle photoresists possible.


Christopher Ober, Emmanuel Giannelis

Cornell University

Ithaca, NY

Christopher Ober is the Francis Bard Professor of materials engineering at Cornell University. From 1982 until 1986, he worked on the research staff at Xerox, where he focused on marking materials. He is a fellow of both the American Chemical Society and American Physical Society and was recently made a fellow of the Japan Society for the Promotion of Science.

Emmanuel Giannelis joined Cornell University in 1987, where he is currently the Walter R. Read Professor of Engineering. He is a fellow of the American Chemical Society and a corresponding member of the European Academy of Sciences.


References:
1. J. K. Stowers, A. Telecky, M. Kocsis, B. L. Clark, D. A. Keszler, A. Grenville, C. N. Anderson, P. P. Naulleau, Directly patterned inorganic hardmask for EUV lithography, Proc. SPIE 7969, p. 796915, 2011. doi:10.1117/12.879542
2. M. Trikeriotis, W. J. Bae, E. Schwartz, M. Krysak, N. Lafferty, P. Xie, B. Smith, P. Zimmerman, C. K. Ober, E. P. Giannelis, Development of an inorganic photoresist for DUV, EUV, and electron beam imaging, Proc. SPIE 7639, p. 76390E, 2010. doi:10.1117/12.846672
3. S. Chakrabarty, C. Ouyang, M. Krysak, M. Trikeriotis, K. Cho, E. P. Giannelis, C. K. Ober, Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning, Proc. SPIE 8679, p. 867906, 2013. doi:10.1117/12.2011490

Source: SPIE Newsroom